Geometry.Net - the online learning center
Home  - Basic_V - Verilog Programming
e99.com Bookstore
  
Images 
Newsgroups
Page 2     21-40 of 84    Back | 1  | 2  | 3  | 4  | 5  | Next 20
A  B  C  D  E  F  G  H  I  J  K  L  M  N  O  P  Q  R  S  T  U  V  W  X  Y  Z  

         Verilog Programming:     more books (38)
  1. Starter's Guide to Verilog 2001 by Michael D. Ciletti, 2003-09-02
  2. Verilog Digital Computer Design: Algorithms Into Hardware (Prentice Hall Modern Semiconductor Design Series' Sub Series: PH Signal Integrity Library) by Mark Arnold, 1998-07-09
  3. Analog Behavioral Modeling with the Verilog-A Language by Dan FitzPatrick, Ira Miller, 1997-10-31
  4. Vlsi Chip Design With the Hardware Description Language Verilog: An Introduction Based on a Large Risc Processor Design by Ulrich Golze, Peter Blinzer, et all 1996-02
  5. Verilog Designer's Library (Prentice Hall Modern Semiconductor Design Series' Sub Series: PH Signal Integrity Library) by Bob Zeidman, 1999-06-25
  6. Designing Digital Computer Systems with Verilog by David J. Lilja, Sachin S. Sapatnekar, 2005-01-17
  7. A Verilog HDL Primer by Jayaram Bhasker, 1997-03-01
  8. The Complete Verilog Book by Vivek Sagdeo, 1998-06-30
  9. Verilog HDL (2nd Edition) by Samir Palnitkar, 2003-03-03
  10. Designing Digital Computer Systems with Verilog by David J. Lilja, Sachin S. Sapatnekar, 2005-01-17
  11. Principles of Verilog PLI by Swapnajit Mittra, 1999-03-31
  12. Principles of Verifiable RTL Design Second Edition - A Functional Coding Style Supporting Verification Processes in Verilog by Lionel Bening, Harry D. Foster, 2001-05-01
  13. The Designer's Guide to Verilog-AMS (The Designer's Guide Book Series) by Ken Kundert, Olaf Zinke, 2004-05
  14. Real World FPGA Design with Verilog (Prentice Hall Modern Semiconductor Design Series' Sub Series: PH Signal Integrity Library) by Ken Coffman, 1999-12-18

21. Computer Science : Programming Languages Reviews And Prices
Media Hardcover. Read 3 Reviews Rating 5.00 Votes 3. The Verilog Pli Handbook A User\ s Guide and Comprehensive Reference on the verilog programming Lan
http://www.georeviews.com/Textbooks/Computer_Science/Programming_Languages/index

GeoReviews.Com Home
Textbooks Computer Science
Programming Languages
User Name Remember Me? Password Forum Categories vbmenu_register("forums"); Review Categories vbmenu_register("reviews"); Register Search New posts Mark Forums Read
Bestsellers The C++ Standard Library : A Tutorial and Reference
New: $47.99
Used: $41.95
The C++ Programming Language (Special 3rd Edition)

New: $51.99
Used: $15.00
Modern Operating Systems (2nd Edition)

New: $88.00
Used: $34.25 Advanced Programming in the UNIX(R) Environment New: $55.99 Used: $25.00 UNIX Network Programming, Volume 2: Interprocess Communications (2nd Edition) New: $60.06 Used: $19.25 The Unified Modeling Language User Guide New: $45.09 Used: $29.41 Software Engineering (6th Edition) New: $106.00 Used: $38.97 Numerical Recipes in C : The Art of Scientific Computing New: $54.60 Used: $30.95 Object-Oriented Analysis and Design with Applications (2nd Edition) New: $54.59 Used: $8.98 Compilers New: $99.00 Used: $34.00 Contact us to advertise here.
Related Forums
Fiction Discuss various fictional books.

22. ScienceDaily -- Browse Topics: Science/Technology/Electronics/Design/HardwareDes
2. Books The Verilog PLI Handbook A User s Guide and Comprehensive Reference on the verilog programming Language Interface (The Kluwer International Series
http://www.sciencedaily.com/directory/Science/Technology/Electronics/Design/Hard
Match: sort by: relevance date
Free Services
Subscribe by email

RSS newsfeeds

PDA-friendly format
loc="/images/" A A A Find Jobs In: Healthcare
Engineering

Accounting College Contract / Freelance Customer Service Diversity Engineering Executive Healthcare Hospitality Human Resources Information Tech International Manufacturing Nonprofit Retail All Jobs by Job Type All Jobs by Industry
Relocating? Visit: Moving Resources
Moving Companies

Mortgage
Information
Mortgage Calculator
Real Estate Lookup Front Page Today's Digest Week in Review Email Updates ... Hardware Description Languages Verilog (23 links) See Also: News about Verilog [ More news about Verilog Books about Verilog Amazon.com's Price: Prices subject to change. Verilog 2001: A Guide to the New Features of the VERILOG Hardware Description Language by: Stuart Sutherland 15 January, 2002 Amazon.com's Price: Prices subject to change. Verilog Quickstart: A Practical Guide to Simulation and Synthesis in Verilog by: James M. Lee 01 March, 2002 Amazon.com's Price:

23. IEEE AND ACCELLERA ANNOUNCE THE APPROVAL OF VERILOG-2001 AS A REVISED IEEE STAND
Enhancements in the verilog programming Language Interface (PLI) provide greater simulation control and improved interoperability.
http://standards.ieee.org/announcements/verilog2001.html
Design Automation Standards Listing IEEE Standards Online
Providing online subscription access to all IEEE Design Automation standards News Room Home IEEE-SA Information

-Fast Facts

-Trademarks

Authors
Product Information ...
Contacts
IEEE and Accellera Announce the Approval of Verilog-2001 as a Revised IEEE Standard
Popular Hardware Description Language Adds Behavioral Modeling Support, Improves ASIC Timing, Simulation Control and Interoperability
Contact:
Georgia Marszalek, Accellera Public Relations Counsel, + 1 650 345 7477, Georgia@ValleyPR.com Karen McCabe, IEEE Marketing Manager, +1 732 562 3824, k.mccabe@ieee.org For Release: Immediate
(PISCATAWAY, NJ, 22 October 2001) To improve design accuracy and address the needs of submicron designers, IEEE 1364 or Verilog-2001 adds capabilities for system-level modeling and greater ASIC timing accuracy. Enhancements in the Verilog Programming Language Interface (PLI) provide greater simulation control and improved interoperability.
IEEE 1364-2001 improvements include:
1. Behavioral extensions so designers can model at a higher level and create code faster

24. Product Description
The Verilog CBT is an interactive training program designed for all skill levels. Modeling engineers requiring advanced verilog programming techniques.
http://www.ece.neu.edu/info/verilog/vcbtc/Actual/product_description.htm
Detailed Product Description McGraw-Hill Publishing with the cooperation of major EDA vendors has developed the first computer-based training course for the popular Verilog Hardware Description Language. This is a complete training and software package that includes everything that is needed for design with Verilog, from trainings to software and from simulation programs to synthesis tools. The core of this package is the Verilog Computer-Based Training program that is authored and compiled by Dr. Zainalabedin Navabi, an authority in HDLs and EDA tools and environments. In addition to this training program, the course package contains hundred’s of worked examples and templates, language and software tutorials, and simulation and synthesis tools. The Verilog CBT is an interactive training program designed for all skill levels. The material is geared to students in computer and electrical engineering programs or to professional engineers. Never before, so much tools and training programs have been offered for a fraction of what is usually paid for a 1-day course. Verilog Computer-Based Training Course: With the Verilog CBT you can learn Verilog at your own pace with this comprehensive, up-to-date, and powerful CD-ROM training course and save over 90% of the cost of online courses or single-day seminars. Start at the beginning with the development of Verilog code and the application of HDL-based tools in simulation, synthesis, and testing of digital systemsor jump in anywhere if you already know some of the material. This resource-loaded CD will be an indispensable reference for as long as you use Verilogand for anyone currently working in this rapidly growing HDL. The CD includes synthesizable templates for common RT-level components and has complete Verilog code for interface devices and arithmetic units such as array multipliers, pipeline dividers and polynomials. The topic of test benches and test bench generation is completely covered in this CD.

25. McGraw-Hill - Verilog Computer-Based Training Course
synthesis and programming skills and Verilog design tools •Modeling engineers requiring advanced verilog programming techniques •Software developers that
http://books.mcgraw-hill.com/cgi-bin/pbg/0071374736.html?id=yAYxJKVJ

26. Wauu.DE: Computers: Programming: Languages: Verilog
Project VeriPage Your one stop source for verilog programming Language Interface (PLI) resources http//www.angelfire.com/ca/verilog/.
http://www.wauu.de/Computers/Programming/Languages/Verilog/
Home Computers Programming Languages : Verilog Search DMOZ-Verzeichnis:
All Categories Categories Onlye
Links:
  • A Brief Introduction to PLI
    A brief introduction to Programming Language Interface.
    http://www.europa.com/~celiac/pli.html
  • Alternate Verilog FAQ
    Verilog FAQ: Includes answers to frequently asked questions and lots of links to other useful sites.
    http://www.angelfire.com/in/verilogfaq/
  • Asic Tools
    Web based verilog generation tools for the common tasks such as crc and lfsr. Also contains links of interest to asic designers.
    http://www.employees.org/~surendra/asic/
  • ASIC World Home to a few examples and information for Verilog beginners http://www.angelfire.com/de/deeps
  • Celia's Verilog and EDA Tips, links and resources. http://www.europa.com/~celiac/ver_eda.html
  • Converter from verilog to html A free perl script that converts verilog to html with most things linked. Also creates hierarchies and indexes for your design. http://www.burbleland.com/v2html/v2html.html
  • Doone Publications Offers a practical guide for designing, synthesizing, and simulating ASIC and FPGA using VHDL. http://www.doone.com/hdl_chip_des.html

27. Alternate Verilog FAQ: Verilog / EDA Links
Swapnajit Mittra s Project Veripage One stop source for all verilog programming Language Interface (PLI) resources. Programmable
http://bawankule.com/verilogfaq/links.html
Verilog FAQ Version 10.03: September 2003 FAQ Main Part-1 Part-2 Part-3 ... Links This page list down important Verilog / EDA related pages on web. Chip-Guru
Chip-Guru is online hardware design magazine full of practical articles. Rajesh Bawankule's Verilog Center
Verilog Center is an Oracle of Verilog Hardware Description Language and E.D.A. May
you find answers to all your questions. Surendra Anubolu's ASICDesign Info page
This page hosts first of its kind online Verilog Simulator and RTL code generators
for useful functions. Swapnajit Mittra's "Project Veripage"
One stop source for all Verilog Programming Language Interface (PLI) resources. Programmable Logic Jump Station
The ultimate page for Programmable Logic. You name it and it has it basic information on FPGA architectures, pointers to newsgroups, tutorials, books, conferences.........
Celia's site contains her excellent collection of information, tips, scripts, sample code and some general advice about Verilog, Synthesis and PLI. Veripool : Public Domain Verilog Resources
This site contains links to public domain, shareware, or other no-charge-for-use design resources.

28. Course Information
with Moore Machines); M Oct 27 verilog programming; W Oct 29 Microprogramming; F Oct 31 Pipelining I; M Nov 03 Pipelining II; W Nov
http://faculty.cs.tamu.edu/klappi/arch/arch.html
Computer Architecture
CPSC 321, Course Information, Fall 2003
This course gives an introduction to the basic hard- and software components of a computer. It features an introduction to the MIPS assembly language. It covers the design of the basic components of a computer, including I/O modules, memory, control unit and arithmetic-logic unit.
Textbook D. Patterson, J. Hennessy: Computer Organization: The Hardware-Software Interface , Morgan Kaufman Publishers, 1997
Instructor Dr. Andreas Klappenecker, Office HRBB 509B, Office hours TW 2:00pm-3:00pm or by appointment.
Class meets MWF 11:30am-12:20pm in Zachry 105B Teaching Assistants
  • Praveen Bhojwani , 321-503, W 2:00pm-3:50pm; 321-505 R 11:00am-12:50pm.
    Office hours M 10:00am-11:00 T 1:00pm-2:00pm or by appointment.
  • Nitesh Goyal , 321-504, M 9:00am-10:50am; 321-506, T 2:00pm-3:50pm
    Office hours W 2.00pm - 4.00pm or by appointment
All labs are in HRBB 209. This page covers sections 504-506; sections 501-503 are covered here
General Information

29. Synopsys VCS Verilog Simulator 64-Bit Cross Compile White Paper
In the case of VCS and other Verilog simulators, most of these thirdparty tools plug-in via the verilog programming Language Interface (PLI).
http://www.synopsys.com/products/simulation/cross_compile_wp.html
Return to Simulation DesignWare
Discovery AMS

Formality
...
VCS MX
VCS Verilog Simulator
64-Bit Cross Compile Technology Backgrounder
February 2002
Contents
Overview
With explosive growth in design sizes and complexities, capacity of HDL simulation tools and workstations must increase for simulation-based verification to continue to be viable. While formal analysis tools have often been considered the verification capacity bottleneck, simulation tools are much more widespread and therefore impact capacity requirements across a greater number of workstations. The popularity of simulation server farms confirms this wide deployment, and highlights the importance of simulation capacity. In general, EDA vendors have focused on increasing capacity by improving the efficiency of the tool usage of workstation memory. Another, more complex approach involves overhauling the tool’s memory addressing so it has access to more memory available with new 64-bit based workstations. While this approach improves capacity by many orders of magnitude, it often breaks the user’s verification environment and most server farms are unable to support 64-bit memory addressing. Cross compile technology offers a way to utilize 64-bit memory addressing, and avoids the associated downfalls.
Compiled Simulation Technology
Unique approaches for increasing capacity for leading commercial HDL simulators can be considered because of “compiled simulation”—a fundamental technology shared by these simulators. Compiled simulation technology was first commercially available with Chronologic’s VCS Verilog simulator (now Synopsys VCS). Compiled simulators provide tremendous performance advantages compared to that of “interpreted” simulators.

30. Synopsys Accelerates VCS Performance For Verilog And Mixed-HDL Simulator
VCS 6.1 supports the latest language standard Verilog 2001, including the latest verilog programming Language Interface (PLI) and its enhanced Verilog
http://www.synopsys.com/news/announce/press2002/vcs61_pr.html
Synopsys Accelerates VCS Performance For Verilog and Mixed-HDL Simulator
VCS's New 64-Bit Cross Compile Technology Boosts Capacity MOUNTAIN VIEW, Calif., February 25, 2002 Synopsys Inc. (Nasdaq:SNPS) the technology leader for complex IC design, today announced the latest release of its industry-leading , VCS 6.1, and its high-performance , Scirocco 2001.10. Customer designs using these new releases show register transfer-level and gate-level simulation performance improvements of up to three times over previous versions, while also showing a reduction in memory consumption of up to 30 percent for Verilog designs. Furthermore, new cross compile technology incorporated in the 64-bit version of VCS additionally increases capacity for Verilog designs. Leveraging this technology, customers have simulated designs in excess of 20 million gates within their existing verification environments. "We are using VCS 6.1 on our microprocessor designs and we are seeing performance increases compared to earlier versions," said Sunil Joshi, vice president of Design Automation and Compute Resources group, Sun Microsystems, Inc. "Our long-running relationship with Synopsys has also produced several benefits for mutual customers, such as the increased capacity with VCS' 64-bit compile mode." New Verilog 64-Bit Cross Compile Technology To allow customers to take immediate advantage of VCS 64-bit support, Synopsys has developed a unique simulation cross compile technology. Cross compiling allows customers to compile large designs on 64-bit servers, and then simulate the designs using 32-bit workstations. Using this flow, customers can take advantage of their 64-bit machines' capacity for the one-time, memory-intensive compile step while enabling engineers to utilize their existing hardware and Verilog PLI-based software investments for simulation.

31. HDL Planet's Verilog Page
http//www.europa.com/~celiac/pli.html A Brief Introduction to Verilog PLI the verilog programming Language Interface (PLI) is a system of C interface
http://hdlplanet.tripod.com/verilog/verilog.html
var cm_role = "live" var cm_host = "tripod.lycos.com" var cm_taxid = "/memberembedded"
Verilog
Comp-Arch
VHDL Verilog E-group Extracts Introduction to Verilog

Verilog is a hardware description language (HDL), similar to VHDL, that was originally written by Phil Moorby in 1984. Phil Moorby was an employee of Gateway Design System Corporation. It was developed by Gateway Design Automation as a simulation language. Cadence purchased Gateway in 1989 and, after some study, placed the Verilog language in public domain. Open Verilog International (OVI) was created to further develop Verilog language as an IEEE standard. The definitive reference guide to Verilog language is the Verilog LRM, IEEE Std 1394-1995. You can obtain a copy of the IEEE standard through the IEEE
Verilog is a fairly simple language to learn if you are familiar with C programming language. However it is necessary to a little knowledge of hardware design to harness the full potentials of Verilog. Next possible question is

32. EEDesign.com - Verilog-2001 Language Ready To Roll
New features added to the verilog programming interface (VPI), which is part of the PLI, provide improved control over simulation and debugging, Brophy said.
http://www.eedesign.com/story/OEG20011018S0085
A list of upcoming NetSeminars, plus a link to the archive
802.11 WLAN Test Specifications and Traceable Test Techniques
Innovative Signal Analysis Techniques for Optimum System Performance Effects of Transmission Rates on Frame Queuing Architecture ... Archive
EE TIMES NETWORK Online Editions
EE TIMES

EE TIMES ASIA

EE TIMES CHINA

EE TIMES FRANCE
...
EE TIMES UK

Web Sites
Career Center

CommsDesign
Microwave Engineering ... Silicon Strategies ELECTRONICS GROUP SITES NEW! SpecSearch eeProductCenter Manufacturing ... QuestLink Advertisement June 12, 2004 Verilog-2001 language ready to roll By Richard Goering EE Times October 18, 2001 (4:51 PM EDT) Latest Headlines News
  • NEC engineers advance HW/SW co-verification
  • Reporter's notebook from the Design Automation Conference
  • Rhines: DFM best bet for EDA growth
  • Statistical timing can boost IC performance, panelists say ... Archives Although the IEEE approved Verilog-2001 in March, until now only a handful of people have had access to working versions of the documentation, said Dennis Brophy, chairman of the
  • 33. Embedded Systems Laboratory Syllabus
    during the semester. verilog programming and synthesis, the subset of Verilog appropriate for synthesis; debugging and verification.
    http://ece-www.colorado.edu/~ecen5633/syllabus.html
    ECEN 4633/5633: Embedded Systems Laboratory Fall Semester 2002 Instructor Jason M. Molgaard Electronic mail molgaard@colorado.edu Class meetings Thursdays 5:30pm - 8:00pm in ECEE 1B28 Office hours Immediately after class and by appointment. Other times will be announced. Lab Facilities EE Capstone Lab, ECEE 2B39 Teaching Assistant Wei Sun Textbooks J. Bhasker, "Verilog HDL Synthesis: a Practical Primer", 1998, ISBN 0-9650391-5-3 T. Shanley, D. Anderson, "PCI System Architecture, 4th ed.", 1999, ISBN 0-201-30974-2
    Schedule
    Date Activity Assignments Aug. 29 Syllabus, goals, course policies. Discuss laboratory facilities and possible projects. Form groups. Sept. 5 Homework 1 assigned Read Bhasker, Chapter 1 Project Descriptions Due Sept. 12 Randy Robinson from Xilinx to provide FPGA overview. Continue Verilog. Read Bhasker, Chapter 2 Sept. 19 Preliminary Design Review. PDR will be a presentation to the class of the type of project to be undertaken. The primary goal is to convince the audience that the project is feasible and roughly comparable to the others in complexity. The presentation is expected to be formal, professional, and rehearsed. All members of the team are expected to take an active role in the presentation. The Preliminary Design Review will include a hard copy of the presentation material for the instructors' use. Verilog Caveats, modeling, optimization.

    34. HDL, VHDL, Verilog And FPGA Training From Esperan
    and examples. Verilog PLI Resources Tutorial, Examples and FAQ on the verilog programming Language Interface (PLI). Chris Spear s
    http://www.esperan.com/resources.html
    Courses VHDL and Verilog VHDL Application
    Verilog Application

    VHDL for Verilog Engineers

    Verilog for VHDL Engineers
    Verification Verification with VHDL NEW!
    Verification with Verilog

    Verification with PSL
    NEW! FPGA Design Designing with Altera APEX
    Designing with Altera Stratix

    Designing with Xilinx
    ASIC Design Low Power Digital
    Hardware Implementation
    PCB Design High Speed PCB Design
    Minimising EMI
    Tcl/Tk and Perl Tcl Scripting for EDA
    GUI Design with Tcl/Tk
    Perl Programming SystemC, C and C++ SystemC SystemC Verification NEW! Real-Time C Real-Time C++ Resources VHDL VHDL Verification Verilog Tcl/Tk ... Tools General Links Accellera Organisation formed from unification of VHDL International and Open Verilog International to promote language-based design automation Deepchip General EDA information site and home of the unofficial Synopsys User Group. VHDL and Verilog Compared VHDL and Verilog compared and contrasted, with modeled example in VHDL, Verilog and C (PDF). VHDL Links comp.lang.vhdl FAQ

    35. Verilog Simulator Adds Full-Featured Coverage Analysis And A C/C++ Interface
    will see substantially better compile and runtime performance than with previous point-tool solutions that use the verilog programming Language Interface (PLI
    http://www.elecdesign.com/Articles/ArticleID/3488/3488.html
    Advanced Search Help Electronic Design Home Recent Articles ...
    [Forefront]

    Verilog Simulator Adds Full-Featured Coverage Analysis And A C/C++ Interface
    David Maliniak

    ED Online ID #3488
    December 3, 2001
    The latest VCS Verilog simulator from Synopsys contains built-in comprehensive coverage analysis. With it, design teams using VCS 6.0.1 can determine their verification quality before tapeout. Synopsys also has added VCS DirectC. This new interface accommodates the use of C/C++ models within a Verilog verification environment. Coverage metrics are an industry-accepted measure of simulation effectiveness. As a standard part of VCS, designers will now have access to comprehensive built-in coverage analysis, including condition, toggle, line, and finite-state-machine coverage. Using these capabilities built into the VCS engine, design teams can easily determine the quality or "coverage" of their verification tests. With the latest VCS release, designers only need to compile once to run both simulation and coverage analysis. Due to this single compilation, users will see substantially better compile and run-time performance than with previous point-tool solutions that use the Verilog Programming Language Interface (PLI). The VCS DirectC interface significantly improves ease-of-use and performance over existing PLI-based methods by enabling designers to directly embed C/C++ functions within their Verilog design description. VCS automatically recognizes these C/C++ function calls and integrates them into the simulation run, in contrast to interfacing with them via manually created PLI files. Furthermore, using this interface eliminates debugging often associated with PLIs. As a result, VCS DirectC users can expect up to a twofold simulation performance improvement over PLI.

    36. Testbench Automation Tool Provides Tight Links To Verilog Simulation
    between VERA and VCS through the VCS Direct Kernel Interface (DKI) replaces traditional, slower approaches using the verilog programming language interface (PLI
    http://www.elecdesign.com/Articles/ArticleID/1531/1531.html
    Advanced Search Help Electronic Design Home Recent Articles ...
    [Forefront]

    Testbench Automation Tool Provides Tight Links To Verilog Simulation
    David Maliniak

    ED Online ID #1531
    February 18, 2002
    Faster runtime performance, real-time access to built-in Verilog simulation coverage metrics, and a unified graphical environment for waveform analysis are all promised by version 5.0 of the VERA testbench automation tool. It also sports tight integration with Synopsys' VCS Verilog simulator as well as a profiler that helps designers identify performance bottlenecks and implement faster testbenches. A number of optimizations add up to improved overall simulation performance. A direct link between VERA and VCS through the VCS Direct Kernel Interface (DKI) replaces traditional, slower approaches using the Verilog programming language interface (PLI). Simulations with VERA 5.0 and VCS 6.0.1 now run up to twice as fast as earlier releases. The VCS DKI, an optimized direct interface to the simulation kernel, speeds up overall simulation by reducing PLI overhead and enabling VCS simulation optimizations to be applied to the design. Along with faster overall simulation performance, VERA 5.0 offers real-time access to built-in VCS coverage metrics. This comprehensive capability includes line, toggle, and conditional coverage integrated into the high-speed simulation engine. Also, the simulator's automatic extraction of state machines for state and transition coverage eliminates the need for VERA users to manually define coverage objects. These coverage metrics, along with the functional coverage data available in VERA, let designers aim their verification efforts at the untested portions of the design. This eliminates testbench redundancy and boosts overall verification efficiency.

    37. Actel: Technical Support: Training: Course Descriptions
    differences from VHDL. Advanced modeling is covered along with the verilog programming Language Interface (PLI). Additional topics include
    http://www.actel.com/custsup/training/descriptions.html

    Technical Support
    Technical Training : Course Descriptions
    Advanced Search
    Site Map To register for classes, please go to Registration.
    Introduction to Libero IDE Actel Libero IDE training is a 2-day course offered at Actel's headquarters in Mountain View, California. The course consists of lecture and hands-on lab using VHDL or Verilog. Each student will come away with the ability to use Actel's integrated Libero environment to take a design from conception to a functioning Actel FPGA. Each student is guided through the complete design flow of a simple hierarchical design using the Libero toolset.
    Skills developed:
    • Project creation with the Libero Integrated Design Environment
    • HDL entry using the Libero HDL Editor
    • Understanding and using Actel's ACTgen Macro builder
    • Constraining designs and synthesizing with Synplicity's Synplify
    • Test bench generation with SynaptiCAD's WaveFormer Lite
    • Simulation using Mentor Graphic's ModelSim Simulator
    • Understanding pin assignment with PinEditor
    • Floorplanning with ChipPLanner
    • Static timing Analysis
    • Design layout (place and route)
    • Generation of back-annotated timing files and programming files.

    38. Provis Builds Verilog Simulator With Off-the-shelf Parts
    It should be noted, however, that Z01X! is not fully IEEE compliant because it doesn t support the verilog programming language interface (PLI).
    http://www.us.design-reuse.com/news/news1485.html
    Select Category... SIP Catalog VIP Catalog HDS Catalog EDA catalog Headline News Industry articles
    Search for IP Silicon IP / SoC Verification IP Software IP IP Search/Find Club Plan a SoC Project Plan a SoC Project IP Interconnection Design Centers Find an Expert View Projects Post your project Search for Tools Embedded Systems EDA Tools Proto. Platforms Hot Corners SOI IP/SOC 2004 Foundry NEW ... Bluetooth In the SoC World Headline News Industry Articles Calendar / Events Online Seminars ... SoC News Alert Free Download IP Cores Tool Demos Contact us
    document.write(''); document.write(''); document.write('');
    document.write(''); document.write(''); document.write('');
    document.write(''); document.write(''); document.write('');
    Headline News
    SoC News Alerts Post News
    Provis builds Verilog simulator with off-the-shelf parts
    Provis builds Verilog simulator with off-the-shelf parts
    By Richard Goering, EE Times
    June 20, 2000 (3:32 p.m. EST)
    URL: http://www.eetimes.com/story/OEG20000620S0076

    39. Synopsys VCS Verilog Simulator Incorporates Breakthrough Verification Capabiliti
    will see substantially better compile and runtime performance than with previous point tool solutions that use the verilog programming Language Interface (PLI
    http://www.us.design-reuse.com/news/news408.html
    Select Category... SIP Catalog VIP Catalog HDS Catalog EDA catalog Headline News Industry articles
    Search for IP Silicon IP / SoC Verification IP Software IP IP Search/Find Club Plan a SoC Project Plan a SoC Project IP Interconnection Design Centers Find an Expert View Projects Post your project Search for Tools Embedded Systems EDA Tools Proto. Platforms Hot Corners SOI IP/SOC 2004 Foundry NEW ... Bluetooth In the SoC World Headline News Industry Articles Calendar / Events Online Seminars ... SoC News Alert Free Download IP Cores Tool Demos Contact us
    document.write(''); document.write(''); document.write('');
    document.write(''); document.write(''); document.write('');
    document.write(''); document.write(''); document.write('');
    Headline News
    SoC News Alerts Post News
    Synopsys VCS Verilog Simulator Incorporates Breakthrough Verification Capabilities
    Synopsys VCS Verilog Simulator Incorporates Breakthrough Verification Capabilities
    MOUNTAIN VIEW, Calif.(BUSINESS WIRE)Sept. 26, 2001 Synopsys, Inc. (Nasdaq:

    40. Alexa Web Search - Subjects > Science > ... > Design > Hardware Description Lang
    Project VeriPage Your one stop source for verilog programming Language Interface (PLI) resources www.angelfire.com/ca/verilog Site Info.
    http://www.alexa.com/browse/general?catid=6185&mode=general

    A  B  C  D  E  F  G  H  I  J  K  L  M  N  O  P  Q  R  S  T  U  V  W  X  Y  Z  

    Page 2     21-40 of 84    Back | 1  | 2  | 3  | 4  | 5  | Next 20

    free hit counter