Geometry.Net - the online learning center
Home  - Basic_V - Verilog Programming
e99.com Bookstore
  
Images 
Newsgroups
Page 1     1-20 of 84    1  | 2  | 3  | 4  | 5  | Next 20
A  B  C  D  E  F  G  H  I  J  K  L  M  N  O  P  Q  R  S  T  U  V  W  X  Y  Z  

         Verilog Programming:     more books (38)
  1. HDL Programming Fundamentals: VHDL and Verilog (Davinci Engineering) by Nazeih M Botros, 2005-11-18
  2. The Verilog PLI Handbook: A User's Guide and Comprehensive Reference on the Verilog Programming Language Interface by Stuart Sutherland, 1999-03-31
  3. Verilog HDL Synthesis, A Practical Primer by J. Bhasker, 1998-10
  4. 6th IEEE International Verilog Hdl Conference, Ivc '97
  5. Verilog 2001: A Guide to the New Features of the VERILOG Hardware Description Language (The Springer International Series in Engineering and Computer Science)
  6. The Verilog® Hardware Description Language by Donald E. Thomas, Philip R. Moorby, 2002-06-30
  7. Verilog HDL: Digital Design and Modeling by Joseph Cavanagh, 2007-02-20
  8. Verilog Computer-Based Training Course by Zainalabedin Navabi, 2002-04-30
  9. Verilog Styles for Synthesis of Digital Systems by David R Smith, Paul D Franzon, 2001-01-15
  10. Verilog® Quickstart: A Practical Guide to Simulation and Synthesis in Verilog (The Springer International Series in Engineering and Computer Science) by James M. Lee, 2005-05-02
  11. Verilog Digital System Design by Zainalabedin Navabi, 2005-10-03
  12. Modeling, Synthesis, and Rapid Prototyping with the VERILOG (TM) HDL by Michael D. Ciletti, 1999-03-08
  13. Verilog Coding for Logic Synthesis by Weng Fook Lee, 2003-04-17
  14. The Complete Verilog Book by Vivek Sagdeo, 1998-06-30

1. Synthesizable Verilog Programming Conventions And Resources
Synthesizable verilog programming Conventions and Resources. This page contains some thoughts of mine about how people should write Verilog code for Synthesis.
http://www.cag.lcs.mit.edu/~wentzlaf/faq/verilog.html
Synthesizable Verilog Programming Conventions and Resources
This page contains some thoughts of mine about how people should write Verilog code for Synthesis. In particular, I have some general rules that will save you much headaches if you follow. Also I have my ideas about how a Verilog file should be layed out. I also have some links to references that I have found useful on my quest to learing about synthesizable Verilog. Lastly I have a FAQ of Verilog questions that I have fielded over time and the responses I have given.
Generalized Verilog rules to live by
  • If you don't know what hardware the code you just wrote is, neither will the synthesizer. Remember that Verilog is a Hardware Description Language (HDL) and as such it describes hardware not magical circuits that you can never actually build. You should be able to draw a schematic for everything that you can write Verilog for.
  • Be sure to know what part of your circuit is combinational and which parts are sequential elements. If you do not know or the code is written to be too hard to figure this out, the synthesizer will probably not be able to figure it out either. I recomend making the combinational logic very separate from sequential logic. This prevents errors later. It also prevents level high latches from being synthesized where you meant to have flip-flops. I also recomend having a naming convention such that you can tell what is a state holding element at all times. I use "_f" post-pended to all registers that are flip-flops.
  • 2. Help-Site: Verilog Programming Computer Help
    verilog programming. Search.
    http://help-site.com/c.m/prog/lang/verilog/
    [Main Index] -> [Programming] -> [Programming Languages] [Directory] [Forums] Verilog Programming
    Search
    options If you can't find the help you are looking for on the main site you can now visit the new Help-Site Forums to ask for help. Save 10% on high-quality Crucial RAM. Order online at Crucial's factory-direct Web site. Crucial Technology, The Memory Experts. [New Links]
    [Add Url]
    [About]

    3. Galaxy Directory : Verilog < Programming Languages < Computer Technology < Engin
    Welcome to Project VeriPage your one stop source for all verilog programming Language Interface (PLI) resources. URL www.angelfire.com/ca/verilog/ edit.
    http://www.galaxy.com/galaxy/Engineering-and-Technology/Computer-Technology/Prog
    Web Directory News Domain Search terms: advanced Voyeur Search Options Yellow Pages ...
    Submit Your Site to this Directory

    Featured Listings
    Announcing! VerilogTool V1.0

    Empowering digital designers with new features, and an advanced scripting language.Did you ever wish your scripts, understoodany of these languages: Verilog, VCD, SDF, or Liberty. Now they can.
    Try searching for VERILOG at ExactSeek.com.

    In addition to standard web search results, ExactSeek also offers targeted searches of specialized databases, including newsletters, articles, mp3 files, images, and comparison pricing. Find the information you need at ExactSeek.com!
    Look for Verilog at eBay - The World's Online Marketplace

    Find it at eBay - Over 5 million items for sale! The most fun buying and selling on the web! Try it, you'll love it!
    Find VERILOG at Searchwaves.com

    Catch the wave. Find Verilog at searchwaves.com. Site Listings Showing 1 - 2 of Sites Project VeriPage. Welcome to Project VeriPage - your one stop source for all Verilog Programming Language Interface (PLI) resources. URL: www.angelfire.com/ca/verilog/ [

    4. VERILOG LINKS
    Hot PLI Stuff; Clike file I/O; Project VeriPage - Your one stop source for verilog programming Language Interface (PLI) resources. Verilog Models.
    http://www.deeps.org/verilog/verilinks.html
    VERILOG LINKS MAY-29-1998 My Friends Verilog site Verilog Useful links
    • Verilog.net : Here you will find good collection of links on Verilog books, free simulators, Tutorials etc. Rajesh Bawankule's Verilog Center - Good site to start with for Verilog beginners and also for engineers in this field. Don Thomas Author of The Verilog Hardware Description Language
    • : Celia's site contains her excellent collection of information, tips, scripts, sample code and some general advice about Verilog, Synthesis and PLI.
    • Alternate Verilog FAQ - Verilog FAQ: Includes answers to frequently asked questions and lots of links to other useful sites.
    Syntax and semantics of Verilog (LRM)

    5. Welcome To Project VeriPage
    Your one stop source for verilog programming Language Interface (PLI) resources
    http://www.angelfire.com/ca/verilog/
    var cm_role = "live" var cm_host = "angelfire.lycos.com" var cm_taxid = "/memberembedded"
    PLI Tutorial
    PLI Examples PLI FAQ History ... Read the report: VCS DirectC - A Quick Look
    Welcome to P roject V eriPage - your one stop source for all Verilog Programming Language Interface (PLI) resources. Refuting the predictions of the pundits, the user base of Verilog as a hardware description language has been growing strongly in the recent years compared to VHDL. PLI, one of the many advantages that Verilog has over VHDL, however, is still perceived as a complex utility for 'software guys'. Our hope is that this page will be able to remove that misconception. Although there are a few Verilog related websites available on the net, so far there is no webpage which is completely dedicated to the Verilog-PLI. This page has been set up with the hope that it will serve as a meeting point among different users of Verilog-PLI - from newbies looking for a start-up question to gurus who can impart their tips. Come on in and check out what is waiting for you inside. Things PLI Little Bit of History A Beginner's Guide to PLI 100% Pure PLI ... (Go, Get It!)

    6. EDN - A Verilog Programming-language-interface Primer
    A verilog programminglanguage-interface primer. If you Listing 6 shows a sample Verilog program containing the call $invert. In a
    http://www.reed-electronics.com/ednmag/article/CA46145?pubdate=9/2/1999

    7. The Verilog PLI Handbook A Users Guide And Comprehensive
    The Verilog PLI Handbook A Users Guide and Comprehensive Reference on the verilog programming Language Interface (The Kluwer International Series in
    http://www.engineering-shop.com/The_Verilog_PLI_Handbook_A_Users_Guide__and_Comp

    8. The VERILOG PLI Handbook : A User's Guide And Comprehensive Reference On The VER
    The Verilog PLI Handbook A User s Guide and Comprehensive Reference on the verilog programming Language Interface is designed to serve two specific needs A
    http://www.ateworld.com/books/view_details.cfm?id=164&review=1

    9. Principles Of VERILOG PLI
    Principles of Verilog PLI is a how to do text on verilog programming Language Interface....... Author Mittra, Swapnajit. CoAuthors
    http://www.ateworld.com/books/view_details.cfm?id=150

    10. Warp Nine Engineering - The IEEE 1284 Experts - Verilog Press Release
    Order/More Info 858576-4354. WARP NINE ENGINEERING TO PROVIDE OEMs WITH IEEE 1284 PIC SCOURCE CODE VIA verilog programming LANGUAGE.
    http://www.fapo.com/verilog_pr.htm
    Larry Stein, President
    Warp Nine Engineering
    Tel: (858) 576-4354
    Fax: (619) 374-2841
    www: fapo.com

    Sales: Jim Blackburn
    Tel: 858-576-4354
    Robert S. Villanueva, A.E./P.R.
    William L. Prichard, Vice President
    FBC/Creative works
    Tel: 949-852-1313, ext. 111 Fax: 949-852-1216 E-mail: robertv@creativewks.com http://www: fbiz.com Availability: Immediate Price: $90,000 To Order/More Info: 858-576-4354 WARP NINE ENGINEERING TO PROVIDE OEMs WITH IEEE 1284 PIC SCOURCE CODE VIA VERILOG PROGRAMMING LANGUAGE Peripheral Interface Controller "Core" Now Available In Multiple Formats SAN DIEGO, March 20, 2000 Warp Nine Engineering announced today availability of a Verilog model for its IEEE 1284 Peripheral Interface Controller (PIC) chip, in addition to the previously available VHDL model of the W91284PIC. The model enables OEMs to add industry-standard IEEE 1284-compliant functionality to their high volume peripheral products.  The OEM can integrate the model into their ASIC as is, or modify it to meet their particular application needs.  The Verilog model includes a full Test Bench to enable easy verification and testing.  The IEEE 1284 standard provides a high-speed, bi-directional means of allowing multiple peripheral use through the parallel port of a host personal computer.

    11. Verilog Designer's Library
    This book provides a library of general purpose routines that simplify the task of verilog programming and enhance existing designs.
    http://www.informit.com/title/0130811548
    Search for: Title Author Keywords ISBN
    • Advanced Search Search Help Login My Account ...
      View Larger Image
      More Information
      Buying Options
      Usually ships in 24 hours. Institutional and Volume Pricing
      Request a Review Copy
      Request an Instructor or Media review copy
      Verilog Designer's Library
      Save up to 25% Become a Member List Price: Discount: (Save 10%) Your Price:
      Dimensions 7 X 9-1/4; Pages: 432; Edition: 1st.
      Book Description
      Ready-to-use building blocks for integrated circuit design. Why start coding from scratch when you can work from this library of pre-tested routines, created by an HDL expert? There are plenty of introductory texts to describe the basics of Verilog, but Verilog Designer's Library is the only book that offers real, reusable routines that you can put to work right away. Verilog Designer's Library organizes Verilog routines according to functionality, making it easy to locate the material you need. Each function is described by a behavioral model to use for simulation, followed by the RTL code you'll use to synthesize the gate-level implementation. Extensive test code is included for each function, to assist you with your own verification efforts. Coverage includes:
      • Essential Verilog coding techniques Basic building blocks of successful routines State machines and memories Practical debugging guidelines
      Although Verilog Designer's Library

    12. Verilog (Computer Hardware Des
    The Verilog PLI Handbook A User s Guide and Comprehensive Reference on the verilog programming Language Interface (The Kluwer International Series in
    http://topics.practical.org/browse/Verilog_(Computer_hardware_des
    topics.practical.org
    Verilog (Computer hardware des
    Verilog HDL (2nd Edition)
    Samir Palnitkar

    Verilog (Computer hardware des
    Chdl (Computer Hardware Descriptive Language) ... Electronics - Digital

    13. HDL Page -> VHDL,Verilog,Synthesis: Beginner Information, Tutorial,editors,Tools
    Online Quick Reference body Celia s Verilog EDA Web Page Chris Spear s Hot PLI Stuff Project VeriPageverilog programming Language Interface(PLI) resources.
    http://www.angelfire.com/electronic/in/vlsi/vhdl.html
    var cm_role = "live" var cm_host = "angelfire.lycos.com" var cm_taxid = "/memberembedded"
    The HDL Page VHDL Verilog , Simulation, Synthesis , ASIC, FPGA Search this site
    powered by FreeFind Join the discussion group www.egroups.com We start with VHDL
    If You have a question regarding VHDL then its quite possible that some one else has asked it before. So the very first thing to do is hop over to the VHDL FAQ and check it out...
    The VHDL FAQ
    - This FAQ is divided into 4 sections and is posted monthly to the VHDL Newsgroup
    Part 1
    : FAQ General (contacts, etc.)
    Part 2
    : Lists of Books on VHDL
    Part 3

    Part 4
    : Glossary
    If the FAQ proves to be inadequete you can go ask the newsgroup
    comp.lang.vhdl
    This is the VHDL News group and this is what the FAQ for this newsgroup says
    "The newsgroup comp.lang.vhdl was created in January 1991. It's an international forum to discuss ALL topics related to the language VHDL which is currently defined by the IEEE Standard 1076/93. Included are language problems, tools that only support subsets etc. but NOT other languages such as Verilog HDL. This is not strict - if there is the need to discuss information exchange from EDIF to VHDL for example, this is a topic of the group. The group is unmoderated. Please think carefully before posting - it costs a lot of money! (Take a look into your LRM for example or try to search http://www.Deja.com/usenet - if you still cannot find the answer, post your question, but make sure, that other readers will get the point). "

    14. Programming The XS Board With Verilog
    Programming the XS Board with Verilog. Q I am currently developing a design in Verilog. What is the design flow and tool flow to
    http://www.xess.com/faq/M0000131.HTM
    Products Ordering Help! Tutorials Press Rel.
    Manuals Buy Guide FAQ Examples About Us Downloads Get Quote XS Forum Links Contact Us
    Programming the XS Board with Verilog
    Q:
    I am currently developing a design in Verilog. What is the design flow and tool flow to use an XS40 board starting from a Verilog design?
    A:
    Just create your design in Verilog and synthesize the netlist. Then create a UCF file containing the assignments of your I/O to the pins of the FPGA on the XS40 Board. Then use the Xilinx implementation tools to place-and-route the netlist and generate the bitstream. Finally, use the XSLOAD program to download the bitstream to the XS40 Board. Classification: FAQ_CLASSIFICATION Date: FAQ_DATE Home Author: webmaster@xess.com

    15. The Verilog PLI Handbook|KLUWER Academic Publishers
    Books » The Verilog PLI Handbook. The Verilog PLI Handbook A User s Guide and Comprehensive Reference on the verilog programming Language Interface.
    http://www.wkap.nl/prod/b/0-7923-8489-X
    Title Authors Affiliation ISBN ISSN advanced search search tips Books The Verilog PLI Handbook
    The Verilog PLI Handbook
    A User's Guide and Comprehensive Reference on the Verilog Programming Language Interface

    by
    Stuart Sutherland
    Sutherland HDL, Inc., Tualatin, OR, USA
    The Verilog PLI Handbook: A User's Guide and Comprehensive Reference on the Verilog Programming Language Interface
    is designed to serve two specific needs:
    • A tutorial on how to write PLI applications A reference book on the IEEE 1364-1998 Verilog PLI standard.
    Towards this end, this book has two distinct parts. Part One is written for new users of the PLI. These chapters explain how the PLI works and how it is used to solve basic design verification tasks. A large number of small but useful examples illustrate the concepts presented in each chapter. Part Two provides a comprehensive reference of the IEEE 1364 PLI standard. The Verilog PLI Handbook: A User's Guide and Comprehensive Reference on the Verilog Programming Language Interface will be of interest to hardware design engineers who use or are familiar with the Verilog Hardware Description Language. Contents
    Kluwer Academic Publishers, Boston

    16. The Verilog PLI Handbook
    The verilog programming Language Interface is a powerful feature of the Verilog standard. Through this interface, a Verilog simulator
    http://www.wkap.nl/prod/b/0-7923-7658-7
    Title Authors Affiliation ISBN ISSN advanced search search tips Books The Verilog PLI Handbook
    Second Edition
    The Verilog PLI Handbook
    Second Edition

    Add to cart

    by
    Stuart Sutherland
    Sutherland HDL, Inc., Tualatin, OR, USA
    Book Series: THE KLUWER INTERNATIONAL SERIES IN ENGINEERING AND COMPUTER SCIENCE Volume 666
    The Verilog Programming Language Interface is a powerful feature of the Verilog standard. Through this interface, a Verilog simulator can be customized to perform virtually any engineering task desired, such as adding custom design debug utilities, adding proprietary file read/write utilities, and interfacing bus functional C language models to a simulator.
    This book serves as both a user's guide for learning the Verilog PLI, and as a comprehensive reference manual on the Verilog PLI standard. Both the TF/ACC ("PLI 1.0") and the VPI ("PLI 2.0") generations of the PLI are presented, based on the IEEE 1364 Verilog standard. The second edition of this book adds detailed coverage of the many enhancements added in the latest IEEE 1364-2001 Verilog standard ("Verilog-2001"). A CD is included, with the C source code, Verilog HDL test cases and simulation result logs for more than 75 complete PLI examples.

    17. :: Ez2Find :: Verilog
    Translate Open New Window Your one stop source for verilog programming Language Interface (PLI) resources URL http//www.angelfire.com/ca/verilog/;
    http://ez2find.com/cgi-bin/directory/meta/search.pl/Science/Technology/Electroni
    Guide : Verilog Global Metasearch
    Any Language English Afrikaans Arabic Bahasa Melayu Belarusian Bulgarian Catala Chinese Simplified Chinese Traditional Cymraeg Czech Dansk Deutsch Eesti Espanol Euskara Faroese Francais Frysk Galego Greek Hebrew Hrvatski Indonesia Islenska Italiano Japanese Korean Latvian Lietuviu Lingua Latina Magyar Netherlands Norsk Polska Portugues Romana Russian Shqip Slovensko Slovensky Srpski Suomi Svenska Thai Turkce Ukrainian Vietnamese Mode
    All Words Any Word Phrase Results
    Timeout
    Depth
    Adult Filter Add to Favorites Other Search Web News Newsgroups Images
    Guides Verilog
    ez2Find Home Directory Science Technology ... Hardware Description Languages : Verilog Tools Related Categories Science: Technology: Electronics: CAD: Electronic Design Automation
    Web Sites

    18. Preliminary Project
    Control main control, ALU control; Branch implementation. Get experience with verilog programming (if you have not); Be familiar with
    http://clue.eng.iastate.edu/~zzhang/courses/cpre585_f03/projects/prelim.htm
    CprE 585: Advanced Computer Architecture
    Home
    Up Lectures Reading ... Syllabus
    Preliminary Project: Design a single-cycle MIPS processor
    Due: 5:00pm, Wednesday, September 10
    Objectives
  • Refresh your knowledge on basic processor design
    • MIPS Instruction formats: R-type, I-type, and J-type Instruction types: load/store, arithmetic, branch and jump Data path elements: instruction memory, register file, data memory, ALU, and their interconnects Control: main control, ALU control Branch implementation
    Get experience with Verilog programming (if you have not) Be familiar with Quartus simulation platform.
  • Description
    Using Verilog, you will implement single-cycle MIPS processor, and then debug and verify your implementation on the Quartus simulation platform. You are provided with a sketch program (cpu.v). You are also provied with a number of building blocks, including register file, instruction memory, data memory, ALU, main control unit, and ALU control unit.
    Guideline
    Part I. First do an exercise for Verilog and Quartus simulation. Here is an example program that XORs two bit vectors: module my_xor (a, b, c); input[31:0] a, b; output[31:0] c; assign c = a ^ b; endmodule

    19. Syllabus
    Verilog project 13 involve verilog programming, and each group will work on the same set of assignments. For the final project, each
    http://clue.eng.iastate.edu/~zzhang/courses/cpre585_f03/syllabus.htm
    CprE 585: Advanced Computer Architecture
    Home
    Lectures Project Reading ... Exercise [ Syllabus ] Fall Semester, 2003
    Iowa State University
    MW 2:10-3:25PM, Pearson 101
    Instructor: Zhao Zhang
    Office Hours: Monday 1:00-2:00PM; Wednesday 3:30-4:30PM; or by appointment
    Office Location: 368 Durham Center
    Contact: phone 294-7940, e-mail: zzhang@iastate.edu Number of Credits:
    Prerequisite:
    CprE 305 Computer Systems Organization and Architecture or equivalent course. Course Objectives This course introduces you to advanced computer architecture. You will first learn the fundamental principles of instruction set architecture (ISA) design and performance evaluation methodology. Then, focusing on RISC architecture, you will learn the organization and implementations of dynamically scheduled superscalar processors. After that, your will study the principles, implementations, and optimizations of memory hierarchy design. Other important topics, including VLIW and EPIC processors, storage systems, multiprocessors, will also be discussed. Finally, you will be exposed to selected topics in contemporary architecture research. Textbook J. L. Hennessy and D. A. Patterson

    20. PRESS RELEASE / ƒVƒmƒvƒVƒXAVerilog-HDL‚¨‚æ‚у~ƒbƒNƒXƒhHDLƒVƒ
    Verilog 2001? VCS6.1?verilog programming Language Interface (PLI)verilog programming Interface (VPI
    http://www.synopsys.co.jp/pressrelease/2002/20020225.html
    Press@Release
    ƒVƒmƒvƒVƒXAVerilog-HDL‚¨‚æ‚у~ƒbƒNƒXƒhHDLƒVƒ~ƒ…ƒŒ[ƒVƒ‡ƒ“Œü‚¯‚É
    VCS‚̏ˆ—‘¬“x‚ðŒüã
    `VCS‚̐V‚µ‚¢64ƒrƒbƒg ƒNƒƒXEƒRƒ“ƒpƒCƒ‹EƒeƒNƒmƒƒW‚É‚æ‚茟Ø—e—Ê‚ð‘啝‚ÉŠg‘å`
    VCS Scirocco V‚µ‚¢Verilog 64ƒrƒbƒg ƒNƒƒXEƒRƒ“ƒpƒCƒ‹EƒeƒNƒmƒƒW
    Denali Software ŽÐ‚ÌVice President of Marketing AKevin Silver Ž‚ÍŽŸ‚̂悤‚ÉŒê‚Á‚Ä‚¢‚éBuŽ„‚½‚¿‚Ì‚¨‹q—l‚Ì‘½‚­‚ªŒŸØŠÂ‹«‚ÉDenali‚ÌMMAVŒŸØ—pIPƒ‚ƒfƒ‹‚ÆVCS‚Ì—¼•û‚ð—p‚¢‚Ä‚¢‚Ü‚·BŽ„‚½‚¿‚͐V‚µ‚¢VCS 64ƒrƒbƒg”ŃNƒƒXEƒRƒ“ƒpƒCƒ‹EƒeƒNƒmƒƒW‚ƉäX‚Ì32ƒrƒbƒg»•i‚Æ‚ÌŠ®‘S‚Ȍ݊·«‚ð‚·‚Å‚ÉŠm”F‚µ‚Ä‚¨‚èAŽ„‚½‚¿‚Ì‚¨‹q—l‚͐»•i‚̃Aƒbƒvƒf[ƒg‚âÝŒvƒtƒ[‚ւ̕ύX‚ðs‚¤‚±‚Æ‚È‚­AŠù‘¶‚̃tƒ[‚ð‚»‚Ì‚Ü‚ÜˆÛŽ‚·‚邱‚Æ‚ª‚Å‚«‚é‚Ì‚Å‚·Bv
    Design Compiler
    ‚ÍVerilog 2001•W€‚ɑΉž‚µ‚Ä‚¢‚邽‚߁AVerilog-HDLÝŒv‚ÆŒŸØ‚̃Xƒ€[ƒY‚ȃtƒ[‚ð’ñ‹Ÿ‚µ‚Ä‚¢‚éB
    ‰¿Ši‚Əo‰×ŽžŠú
    VERA AŒŸØ—pIP DesignWare LEDA A‰ñ˜HƒVƒ~ƒ…ƒŒ[ƒ^ NanoSim A‚¨‚æ‚ј_—“™‰¿«ŒŸØƒc[ƒ‹ Formality ‚ªŠÜ‚Ü‚ê‚éB SynopsysAVERAADesignWareALEDA‚¨‚æ‚Ñ Formality‚́ASynopsys, Inc. ‚Ì“o˜^¤•W‚Å‚·BDesign Compiler, NanoSim, Scirocco ‚¨‚æ‚ÑVCS‚ÍSynopsys, Inc. ‚̏¤•W‚Å‚·B ‚»‚Ì‘¼‚̏¤•W‚â“o˜^¤•W‚́A‚»‚ꂼ‚ê‚̏Š—LŽÒ‚Ì’m“IàŽY‚Å‚·BSunASun MicrosystemsAThe Network Is The Computer‚́A•Ä‘‚¨‚æ‚Ñ‚»‚Ì‘¼‚̍‘‚É‚¨‚¯‚é•Ä‘Sun Microsystems, Inc.‚̏¤•W‚Ü‚½‚Í“o˜^¤•W‚Å‚·B‚·‚ׂĂÌSPARC¤•W‚́A•Ä‘SPARC International, Inc. ‚̃‰ƒCƒZƒ“ƒX‚ðŽó‚¯‚ÄŽg—p‚µ‚Ä‚¢‚铯ŽÐ‚̕č‘‚¨‚æ‚Ñ‚»‚Ì‘¼‚̍‘‚É‚¨‚¯‚鏤•W‚Ü‚½‚Í“o˜^¤•W‚Å‚·BSPARC¤•W‚ª•t‚¢‚½»•i‚͕č‘Sun Microsystems, Inc.‚ªŠJ”­‚µ‚½ƒA[ƒLƒeƒNƒ`ƒƒ‚ÉŠî‚‚­‚à‚Ì‚Å‚·B

    A  B  C  D  E  F  G  H  I  J  K  L  M  N  O  P  Q  R  S  T  U  V  W  X  Y  Z  

    Page 1     1-20 of 84    1  | 2  | 3  | 4  | 5  | Next 20

    free hit counter